Welcome![Sign In][Sign Up]
Location:
Search - cpu vhdl

Search list

[ARM-PowerPC-ColdFire-MIPScpu

Description: 基于十二条简单汇编指令构成的一个cpu 采用vhdl语言编写 内附源代码 工具sylinx-Based on 12 simple assembly instructions consisting of a cpu using vhdl language source code tool sylinx included
Platform: | Size: 695296 | Author: 张伟 | Hits:

[Software EngineeringFPGA-cpu

Description: 基于FPGA的简易处理器设计2010/05/04-A simple FPGA-based processor design 2010/05/04
Platform: | Size: 98304 | Author: 阿锦 | Hits:

[Embeded-SCM Developmips

Description: 在maxplus上实现了一个5级流水线的mips cpu,含cache-In maxplus to achieve a 5-stage pipeline of the mips cpu, with cache
Platform: | Size: 449536 | Author: tong tong | Hits:

[VHDL-FPGA-Verilogmips

Description: MIPs CPU,VERILOG代码,经过QUARTUS综合,时序分析,验证无误。-MIPS CPU
Platform: | Size: 5120 | Author: 王龙 | Hits:

[OtherPipelineCPU

Description: Quartus II 7.2环境中,采用硬件描述语言VHDL独立完成了基于MIPS指令集的32位RISC处理器的逻辑设计-quartusII mips pipeline 32bit cpu design
Platform: | Size: 847872 | Author: znl | Hits:

[VHDL-FPGA-Verilogcpu86

Description: this is a vhdl implementation of cpu 86
Platform: | Size: 437248 | Author: RAVI | Hits:

[VHDL-FPGA-VerilogCPU

Description: Cpu with 8 bits in VHDL verilog Code
Platform: | Size: 2048 | Author: guilherme | Hits:

[VHDL-FPGA-VerilogCPU

Description: VHDL16位cpu,能实现加减法移动等指令-vhdl 16 cpu,include add,sub,move and so on.
Platform: | Size: 19456 | Author: 王军 | Hits:

[VHDL-FPGA-VerilogCPU_marjan

Description: a core of cpu that the of it,is marjan
Platform: | Size: 1607680 | Author: elahe | Hits:

[VHDL-FPGA-VerilogCPU-Project

Description: CPU设计,包含基本的指令集,能执行简单的程序。考虑了CPU,寄存器,存储器和指令集之间的关系。即读写寄存器,读写存储器和执行指令。-CPU design, including basic instruction set, to execute a simple program. Consider the CPU, registers, memory, and the relationship between instruction sets. That read and write registers, read and write memory and execution.
Platform: | Size: 3383296 | Author: ilmf | Hits:

[VHDL-FPGA-Verilogcpu

Description: 设计一个简化的处理器(字长8位),并使其与内存MEM连接,协调工作。用VHDL以RTL风格描述。该处理器当前执行的指令存放在指令寄存器IR中。处理器的指令仅算逻指令和访问内存指令)。-Design a simplified processor (8-bit word length), and connect it with the memory MEM, and coordination. Described with VHDL in RTL style. The processor is currently executing instruction stored in the instruction register IR. Arithmetic Logic processor instructions and instructions only access memory instructions).
Platform: | Size: 4740096 | Author: jinxf | Hits:

[VHDL-FPGA-Verilogcpu

Description: 一个简单的CPU设计,支持add,sub,mvi,mv四条指令,用Verilog语言编写,在Quratus II上编译通过,仿真正确。-A simple CPU design, support add, sub, mvi, mv four instructions, with the Verilog language, compiled by the Quratus II, the simulation is correct.
Platform: | Size: 931840 | Author: 姜涛 | Hits:

[VHDL-FPGA-Verilogcpu

Description: 5 stage pipeline CPU, verilog HDL code-5 stage pipeline CPU
Platform: | Size: 2048 | Author: dylan | Hits:

[VHDL-FPGA-Verilogmips-cpu

Description: 单周期的mips处理器设计,用vhdl语言实现各个模块的功能-Single-cycle mips processor design, using vhdl language functions of each module
Platform: | Size: 117760 | Author: 王晓强 | Hits:

[OS programcpu

Description: 基本功能的cpu,自定义内存内容~了解CPU运作原理~-design of cpu,VHDL environment~
Platform: | Size: 1024 | Author: 王亦品 | Hits:

[VHDL-FPGA-Verilogsimple-16b-cpu-vhdl-code

Description: vhdl source code for simple cpu
Platform: | Size: 27648 | Author: eu | Hits:

[VHDL-FPGA-VerilogPerfect_CPU

Description: CPU硬件,才用10条语句的指令。这是清华大学的一个作业题-cpu
Platform: | Size: 1107968 | Author: smallfish | Hits:

[OS Developcpu-leon3-altera-ep1c20

Description: CPU性能仿真测试软件,对于VHDL设计的芯片可以做新能测试-CPU VHDL
Platform: | Size: 687104 | Author: mackalli | Hits:

[source in ebookCPU-VHDL

Description: cpu pipeline processing
Platform: | Size: 1544192 | Author: Kenny | Hits:

[VHDL-FPGA-VerilogMCPU

Description: 多周期CPU的verilog代码,用vivado可以仿真出波形(multi-cycle CPU by verilog and using vivado to simulate.)
Platform: | Size: 5875712 | Author: Lsinger | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 27 »

CodeBus www.codebus.net